题解 | #非整数倍数据位宽转换8to12#

非整数倍数据位宽转换8to12

https://www.nowcoder.com/practice/11dfedff55fd4c24b7f696bed86190b1

`timescale 1ns/1ns

module width_8to12(
	input 				   clk 		,   
	input 			      rst_n		,
	input				      valid_in	,
	input	[7:0]			   data_in	,
 
 	output  reg			   valid_out,
	output  reg [11:0]   data_out
);

	reg [11:0] data_temp;
	reg [1:0] cnt;

	always @(posedge clk or negedge rst_n) begin
		if(rst_n == 1'b0)
			data_temp <= 12'd0;
		else if(valid_in)
			data_temp <= {data_temp[2:0],data_in};
		else
			data_temp <= data_temp;
	end

	always @(posedge clk or negedge rst_n) begin
		if(rst_n == 1'b0)
			cnt <= 2'd0;
		else if(valid_in) begin
			if(cnt == 2'd2)
				cnt <= 2'd0;
			else
				cnt <= cnt + 1'b1;
		end
		else
			cnt <= cnt ;
	end

	always @(posedge clk or negedge rst_n) begin
		if(rst_n == 1'b0)
			valid_out <= 1'b0;
		else if((cnt == 2'd1 || cnt == 2'd2)&& valid_in)
			valid_out <= 1'b1;
		else
			valid_out <= 1'b0;			
	end

	always @(posedge clk or negedge rst_n) begin
		if(rst_n == 1'b0)
			data_out <= 12'd0;
		else if(cnt == 2'd1 && valid_in)
			data_out <= {data_temp[7:0],data_in[7:4]};
		else if(cnt == 2'd2 && valid_in)
			data_out <= {data_temp[2:0],data_in};
		else
			data_out <= data_out;
	end


endmodule

全部评论

相关推荐

05-12 17:00
门头沟学院 Java
king122:你的项目描述至少要分点呀,要实习的话,你的描述可以使用什么技术,实现了什么难点,达成了哪些数字指标,这个数字指标尽量是真实的,这样面试应该会多很多,就这样自己包装一下,包装不好可以找我,我有几个大厂最近做过的实习项目也可以包装一下
点赞 评论 收藏
分享
04-13 18:10
门头沟学院 Java
想熬夜的小飞象在秋招:被腾讯挂了后爸妈以为我失联了
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务