题解 | #简易秒表#
简易秒表
https://www.nowcoder.com/practice/6493ca8c7b67499f918e1fa33b4cdeda
比较简单。注意second 和 minute的改变条件;以及最终停止计时。
`timescale 1ns/1ns module count_module( input clk, input rst_n, output reg [5:0]second, output reg [5:0]minute ); always@(posedge clk or negedge rst_n)begin if(!rst_n) second <= 0; else if(minute==60)begin second <= 0; end else second <= second==60? 1:second+1; end always@(posedge clk or negedge rst_n)begin if(!rst_n) minute <= 0; else if(minute==60) begin minute <=60; end else minute <= second==60? minute+1:minute; end endmodule