题解 | #异步复位同步释放#

异步复位同步释放

https://www.nowcoder.com/practice/9b892b6f75954267b4574b042f8a8d6a

`timescale 1ns/1ns

module ali16(
input clk,
input rst_n,
input d,
output reg dout
 );

//*************code***********//

    reg rst_n1,rst_n2;

    always @(posedge clk or negedge rst_n) begin
        if(rst_n == 1'b0)begin
            rst_n1 <= 1'b0;
            rst_n2 <= 1'b0;
        end
        else begin
            rst_n1 <= 1'b1;
            rst_n2 <= rst_n1;
        end
    end

    always @(posedge clk or negedge rst_n2) begin
        if(rst_n2 == 1'b0)
            dout <= 1'b0;
        else
            dout <= d;
    end

//*************code***********//
endmodule

全部评论

相关推荐

04-11 15:34
已编辑
华中科技大学 网络安全
疯犬丨哈士奇:意思就是:我们还有其他更优秀的人在等回复,如果他们不要这个机会就会来找你
点赞 评论 收藏
分享
评论
点赞
收藏
分享

创作者周榜

更多
牛客网
牛客网在线编程
牛客网题解
牛客企业服务